(Back to Session Schedule)

SASIMI 2015
The 19th Workshop on Synthesis And System Integration of Mixed Information Technologies

Poster III
Time: 9:45 - 11:30 Tuesday, March 17, 2015
Chairs: Kazuhito Ito (Saitama University, Japan), Shigeru Yamashita (Ritsumeikan University, Japan)

R3-1 (Time: 9:45 - 9:47)
TitleNew nMOS Dynamic Shift Registers for Driver Circuit of Small LCDs and Their Evaluations
Author*Shinji Higa, Shuji Tsukiyama (Chuo University, Japan), Isao Shirakawa (University of Hyogo, Japan)
Pagepp. 218 - 223
Keywordshift register, nMOS dynamic logic, Liquid Crystal Display, System on Glass, source driver
AbstractDriver circuits for small LCDs (Liquid Crystal Displays) are formed on the same glass substrate as LCD by means of thin film transistors, which is called system on glass technology. If such a driver circuit is implemented by nMOS transistor only, then production cost can be reduced, because pMOS process is eliminated. In this paper, we focus on shift registers, which are indispensable in LCD driver circuit, and consider a method to design an nMOS dynamic shift register. Then, we propose two new 2-phase clock shift registers, and evaluate their performances by comparing them with the conventional shift registers using 2-phase or 4-phase clock. The results show that the new shift registers have acceptable areas and outperform the others in speed, power, and variations of power supply voltage and mobility of transistors.

R3-2 (Time: 9:47 - 9:49)
TitleA Floorplan-Driven High-Level Synthesis Algorithm Utilizing Interconnection Delay Characteristics in FPGA Designs
Author*Koichi Fujiwara, Masao Yanagisawa, Nozomu Togawa (Waseda University, Japan)
Pagepp. 224 - 225
Keywordhigh-level synthesis (HLS), FPGA, floorplan, interconnection delay
AbstractRecently, high-level synthesis (HLS) techniques for FPGA designs are required such as in image processing and software-defined radios. With recent process scaling in FPGAs, interconnection delays become dominant in total circuit delays and each FPGA family has different interconnection delay characteristics. Multiplexer cost is another concern in FPGA designs. We need to consider interconnection delays based on interconnection delay characteristics in FPGA designs with reducing multiplexer cost in HLS. In this paper, we propose a floorplan-driven HLS algorithm utilizing interconnection delay characteristics in FPGA designs. Experimental results show that our algorithm can realize FPGA designs which reduce the latency by up to 6% compared with our previous approach.

R3-3 (Time: 9:49 - 9:51)
TitleIntroducing Loop Statements in Random Testing of C Compilers Based on Expected Value Calculation
Author*Kazuhiro Nakamura, Nagisa Ishiura (Kwansei Gakuin University, Japan)
Pagepp. 226 - 227
Keywordcompiler, random testing, for loop
AbstractThis paper presents a method of reinforcing random testing of C compilers by introducing loop statements. While random testing based on precomputation of expected values is powerful in detecting bugs in C compilers, loop statements have not been handled, due to difficulties in avoiding undefined behavior. In this paper, an extended method to eliminate undefined behavior in loop bodies is proposed, where arrays of precomputed constants are used to modify problematic operands during loop iterations. A random test system based on the proposed method has uncovered a new bug in the latest version of LLVM which can not be detected by the existing methods.
PDF file

R3-4 (Time: 9:51 - 9:53)
TitleProduct Term Minimization in ROBDDs with Application to Reconfigurable SET Array Synthesis
Author*Yi-Hang Chen, Yang Chen, Juinn-Dar Huang (National Chiao Tung University, Taiwan)
Pagepp. 228 - 231
Keywordsingle-electron transistor, automatic synthesis, reconfigurable, area minimization, binary decision diagram
AbstractThe power dissipation has become a crucial issue for most electronic circuit and system designs nowadays when fabrication processes exploit even deeper submicron technology. In particular, leakage power is becoming a dominant source of power consumption. In recent years, the reconfigurable single-electron transistor (SET) array has been proposed as an emerging circuit design style for continuing Moore’s Law due to its ultra-low power consumption. Several automated synthesis techniques for area minimization have been developed for the reconfigurable SET array in the past few years. Nevertheless, most of those existing methods focus on variable and product term reordering during SET mapping. In fact, minimizing the number of product terms can greatly reduce the area as well, which has not been well addressed before. In this paper, we propose a dynamic shifting based variable ordering algorithm that can minimize the number of disjoint sum-of-product terms extracted from the given ROBDD. Experimental results show that the proposed method can achieve an area reduction of up to 49% as compared to current state-of-the-art techniques.
PDF file

R3-5 (Time: 9:53 - 9:55)
TitleAn Effective Timing-Coherent Transactor Generation Approach for Mixed-Level System Simulations
Author*Hsin-I Wu, Li-chun Chen, Ren-Song Tsay (National Tsing Hua University, Taiwan)
Pagepp. 232 - 237
KeywordMixed-level simulations, system simulations, transactor, timing coherent, ESL
AbstractIn this paper we extend the concept of the traditional transactor, which focuses on correct content transfer, to a new timing-coherent transactor that also accurately aligns the timing of each transaction boundary so that designers can perform precise concurrent system behavior analysis in mixed-abstraction-level system simulations which are essential to increasingly complex system designs. To streamline the process, we also developed an automatic approach for timing-coherent transactor generation. Our approach is actually applied in mixed-level simulations and the results show that it achieves 100% timing accuracy while the conventional approach produces results of 25% to 44% error rate.

R3-6 (Time: 9:55 - 9:57)
TitleAn Accurate Processor Power Estimation Approach Based on Microcomponent Structure Analysis
Author*Chi-Kang Chen, Zih-Ci Huang, Ren-Song Tsay (National Tsing Hua University, Taiwan)
Pagepp. 238 - 243
KeywordESL, power estimation, microcomponent, power anslysis, processor
AbstractWe propose a new embedded processor power analysis approach that maps instruction executions to microarchitecture components for highly efficient and accurate power evaluations, which are crucial for embedded system designs. We observe that in practice, the execution of each high-level instruction in a processor always triggers the same microcomponent activity sequence while the difference of power consumption values of different instructions is mainly due to timing variations caused by hazards and cache misses. Hence, by incorporating accurately pre-characterized microcomponent power consumption values into an efficient instruction-microcomponent processor timing simulation tool, we construct a highly accurate embedded processor power analysis tool. Additionally, based on the proposed approach, we accurately and effortlessly capture the power waveform at any time point for power profiling, peak power and dynamic thermal distribution analysis. The experimental results show that the proposed approach is nearly as accurate as gate-level simulators, with an error rate of less than 1.2% while achieving simulation speeds of up to 20 MIPS, five orders faster than a commercial gate-level simulator.

R3-7 (Time: 9:57 - 9:59)
TitleA Verilog Compiler Proposal for VerCPU Simulator
Author*Tze Sin Tan (Altera Corporation, Malaysia), Bakhtiar Affendi Rosdi (Universiti Sains Malaysia, Malaysia)
Pagepp. 244 - 249
KeywordVerilog, Simulator, Hardware Assisted
AbstractVerilog is a widely used Hardware Description Language (HDL) for VLSI design and modeling. As a language developed with hardware execution concurrency in mind, Verilog can be mapped onto a dedicated processor for higher simulation throughput. The processor requires a compiler to transform Verilog netlist into compiled-code instructions. In this paper, we propose a data structure that adequately represents a Verilog model. Then, the Verilog compiler is developed to map Verilog netlist into this data structure. We also demonstrated that it is possible to construct a hardware simulator (VerCPU) utilizing this data structure.
PDF file

R3-8 (Time: 9:59 - 10:01)
TitleMorFPGA Duo: A Dual-Core FPGA-Based Embedded System Development Platform
AuthorChih-Chyau Yang, *Chun-Yu Chen, Chun-Wen Cheng, Yi-Jun Liu, Chien-Ming Wu, Chun-Ming Huang (National Chip Implementation Center, Taiwan)
Pagepp. 250 - 254
KeywordMorFPGA, MorFPGA Duo, SoC FPGA, All-programmable SoC
AbstractTo help academia researchers of Taiwan rapidly integrate their IP to a system for complete demonstration of hardware/software co-design, CIC presents a platform named MorFPGA Duo in this paper. MorFPGA Duo owns a dual core, versatile built-in peripherals and high expandability to satisfy users’ eager needs for state-of-the-art research topics. MorFPGA Duo consists of two boards: The core modular board mainly includes a dual core Zynq and versatile peripherals while the multimedia modular board supports the high quality two-channel video sources with SDI interface. With the PMOD and FMC connectors, the various kinds of daughter boards are enabled to integrate into MorFPGA Duo system. The Media Wiki online forum is adopted as the platform to deliver users the latest lab materials. The design flow and two system integration examples are provided to show the MorFPGA Duo is workable. One example introduces how the software and hardware design can be integrated and demonstrated in this platform. The other example shows the video demo system with dual SDI cameras to enable the future development of 3D video applications.

R3-9 (Time: 10:01 - 10:03)
TitleA 3G-Based Bridge Structural Health Monitoring System Using Cost-Effective 1-Axis Accelerometers
AuthorChih-Hsing Lin, *Wen-Ching Chen, Chih-Ting Kuo, Gang-Neng Sung, Chih-Chyau Yang, Chien-Ming Wu, Chun-Ming Huang (National Chip Implementation Center, Taiwan)
Pagepp. 255 - 259
KeywordBridge health monitoring, 1-axis accelerometer, Cellular communication
AbstractThis paper proposes a 3G-based structure health monitoring device (HMD) for short-term monitoring. The proposed HMD includes three 1-axis accelerometers, microcontroller unit (MCU), analog to digital converter (ADC), and cellular gateway for long span bridge. The proposed monitoring system achieves the features of low cost by using three 1-axis accelerometers with the data synchronization problem being solved, and easily installation and removal. Furthermore, instead of using data loggers data is transmitted to Host through 3G gateway. Compared with 3-axis accelerometer, our proposed 1-axis accelerometers based device has achieved 72.7% cost saving. Besides, the cost of HMD achieves 34.1% cost saving when it is compared with data logger inside HMD. To adapt our HMD system to fit different monitoring environments, the proposed system can easily exchange the different PCB boards to achieve variety applications such as communication interfaces and sensors. Therefore, with using the proposed device, the realtime diagnosis system for bridge damage monitoring can be conducted effectively.

R3-10 (Time: 10:03 - 10:05)
TitleAnalytical Reliability Model of Die-Stacked DRAM Protected by Error Control Code and TSV Fault Tolerant Coding Technique
Author*Tadayuki Matsumura, Tsuyoshi Tanaka (Hitachi Ltd., Japan)
Pagepp. 260 - 265
Keywordreliability, stacked memory, TSV, ECC
AbstractDie-stacked DRAM is a promising innovation to meet the need for high memory bandwidth in HPC systems. HPC systems must also be reliable yet there is no analytical reliability model and it is difficult to evaluate reliability in a time-efficient manner. This paper proposes analytical reliability models for some type of the die-stacked memory configurations. It is shown that through silicon via (TSV) errors can be catastrophic, and an effective coding technique to solve this problem is proposed. The model is validated in simulation experiments. The reliability of future large-scale system is evaluated on the basis of the proposed model.
PDF file

R3-11 (Time: 10:05 - 10:07)
TitleProtection Method for AES IP Core from Scan-Based Attack
Author*Yifan Wu, Shinji Kimura (Waseda University, Japan)
Pagepp. 266 - 271
KeywordAdvanced Encryption Standard, scan chain, secure scan design, bit difference attack, JTAG
AbstractIn the research, scan-based two bit difference attack method has been studied and complete the method by further analysis and additional tables and test patterns. Then a protection method for such scan-based attack is also proposed.The proposed method cause less area overhead compared with the original AES IP core, higher security level and fault coverage compared to previous methods.
PDF file

R3-12 (Time: 10:07 - 10:09)
TitleSoft-Error Tolerant Datapath Synthesis Based on Speculative Resource Sharing in Triple Algorithm Redundancy
Author*Junghoon Oh, Mineo Kaneko (Japan Advanced Institute of Science and Technology, Japan)
Pagepp. 272 - 277
Keywordsoft-error, high-level synthesis, triple algorithm redundancy, speculative resource sharing
AbstractThe reliability degradation caused by soft-errors becomes one of serious issues in LSIs. We propose a method to synthesize soft-error tolerant datapaths via high-level synthesis. The novel feature of our method is speculative resource sharing between retry parts and secondary parts for hardware/time overhead mitigation. Scheduling algorithm using the special priority function to maximize the speculative resource sharing is also important feature. We found that our method is more effective when computation algorithm possesses higher parallelism and a smaller number of resources is available.
PDF file

R3-13 (Time: 10:09 - 10:11)
TitleUsing Range-Equivalent Circuits for Facilitating Bounded Sequential Equivalence Checking
AuthorYung-Chih Chen (Yuan Ze University, Taiwan), Wei-An Ji, Chih-Chung Wang, *Ching-Yi Huang, Chun-Yao Wang (National Tsing Hua University, Taiwan)
Pagepp. 278 - 282
Keyworddesign verification, bounded sequential equivalence checking
AbstractThis paper presents a method based on range-equivalent circuit technique for SAT-based bounded sequential equivalence checking. Given two sequential circuits to be verified, instead of straightforward unrolling the miter of two sequential circuits, we iteratively minimize the miter with a range-equivalent circuit technique before adding a new timeframe. This is because the previous timeframes can be considered as a pattern generator that feeds input patterns to the next timeframe. Experimental results show that the proposed method saved up to 91% of time for reaching the same bounded depth compared with previous work on IWLS2005 benchmarks.
PDF file

R3-14 (Time: 10:11 - 10:13)
TitleDesign of PPG-Based Heart Rate Sensor Enabling Motion Artifact Cancellation
Author*Takunori Shimazaki, Shinsuke Hara (Osaka City University, Japan)
Pagepp. 283 - 286
KeywordPPG, motion artifact, hear rate sensing, cancellation, experiments with subjects
AbstractWe have proposed a photoplethysmography (PPG)-based heart rate sensor which is equipped with a normal PPG sensor and a motion artifact sensor to be able to cancel motion artifact induced during exercise. It has two critical design parameters such as the height of the motion artifact sensor and the distance between the motion artifact sensor and the normal PPG sensor. This paper tries to determine the two parameters by experiments with a subject.
PDF file

R3-15 (Time: 10:13 - 10:15)
TitleA Redundant Task Allocation Method for Reliable Network-on-Chips
Author*Hiroshi Saito (The University of Aizu, Japan), Tomohiro Yoneda (National Institute of Informatics, Japan), Yuichi Nakamura (NEC, Japan)
Pagepp. 287 - 292
Keywordnetwork-on-chips, task allocation, task scheduling, fault tolerance
AbstractThe possibility of failures on network-on-chip (NoC) will be increased if the size increases. To realize reliable NoCs, we propose a redundant task allocation method which allocates several copies of tasks to different cores based on multiple task scheduling. In the experiments, we apply the proposed method to a real application. Then, the allocation time of the proposed method and the estimated execution time of the application are evaluated changing parameters such as multiplicities of scheduling and allocation.
PDF file

R3-16 (Time: 10:15 - 10:17)
TitleSingle-Flux-Quantum Digital Circuit Design Using Clockless Logic Cells with a Jitter Constraint
Author*Ryohei Matsumoto, Shigeru Yamashita (Ritsumeikan University, Japan)
Pagepp. 293 - 298
KeywordSFQ
AbstractWe propose a design method for Single-Flux-Quantum (SFQ) circuits using clockless logic cells. A clock tree’s size is reduced using clockless logic cells, but it is not easy to satisfy the so-called jitter constraint by doing so. Therefore, we consider using not only clockless logic cells but also clocked logic cells to satisfy the jitter constraint. Experimental results show that the circuit area by the proposed method is 32.12% smaller on average than that by the general method.

R3-17 (Time: 10:17 - 10:19)
TitleTime Analysis of Applying Back Gate Bias for Reconfigurable Architectures with SOTB MOSFET
Author*Hayate Okuhara, Hideharu Amano (Keio University, Japan)
Pagepp. 299 - 304
KeywordDynamic back gate bias scaling, Low power design
AbstractThe response time of the dynamic back gate biasscaling of large scale digital modules implemented with silicon on thin BOX (SOTB) technology developed by LEAP was analyzed using real chips. A reconfigurable accelerator cool mega array (CMA) and two different prototypes of microcontroller V850 E-star were utilized for measurement. Evaluation results revealed that the response time is related to the chip area which shares the bias voltage rather than the leakage current itself. The leakage current can be mostly stable after 180.0us and 270.2us after changing bias voltage of CMA and V850E-Star, respectively. The possibility of the dynamic back gate bias scaling within milliseconds for dynamic reconfigurable architectures was shown.
PDF file

R3-18 (Time: 10:19 - 10:21)
TitleA Cooling Effect Formulation and Implementation of a Cooling System for Li-Ion Battery Modules
Author*Yuki Kitagawa, Yusuke Yamamoto, Masahiro Fukui (Ritsumeikan University, Japan)
Pagepp. 305 - 310
KeywordLithium-ion battery, Degradation, Air cooling
AbstractThis paper discusses the theory and experiments of heating and air cooling of battery modules. Heating mechanism is shown first, and cooling of a single battery is examined. Optimum air flow speed is discussed. Then, similar discussion is made for a battery module of six serial cells. Finally, the discussion is to reduce the temperature variation
PDF file

R3-19 (Time: 10:21 - 10:23)
TitleGlobal Transformation-Based Optimization of Threshold Logic Circuits
Author*Maiko Kabu, Takayuki Kasugai, Shigeru Yamashita (Ritsumeikan University, Japan), Chun-Yao Wang (National Tsing Hua University, Taiwan)
Pagepp. 311 - 316
Keywordoptimization, threshold logic circuit, global functional flexibility, CSPF
AbstractThreshold logic circuit technology, which is considered to be one of the promising new technologies, has been successfully demonstrated recently thanks to the rapid progress of nanotechnology. Since the logic elements used in threshold logic circuits are very different from the ones used in the conventional logic circuits, we may need a totally different design methodology for threshold logic circuits; there have been intensive studies recently. In such previous works, local transformation have been mainly considered for the optimization of circuits. Instead, this paper, for the first time, considers global transformations. More specifically, we propose a method to calculate global functional flexibility based on compatible sets of permissible functions (CSPFs) and how to use it to optimize threshold logic circuits.

R3-20 (Time: 10:23 - 10:25)
TitleCounter-Based Victim Cache Hit Rate Optimization
Author*Li-Yen Chang, Chen-Hua Suo, Yi-Yu Liu (Yuan Ze University, Taiwan)
Pagepp. 317 - 318
Keywordvictim cache
AbstractVictim cache is proposed to alleviate cache miss penalty due to conflict misses. However, the low hit rate of victim cache, due to its small-size nature, indicates inefficiency of accessing the victim cache. In this paper, we integrate a small counter into L1 cache entry to filter out unnecessary victim cache accesses. Experimental results demonstrate that our technique substantially improves the victim cache hit rate.
PDF file

R3-21 (Time: 10:25 - 10:27)
TitleAn ECO-Friendly Design Style Based on Reconfigurable Cells
Author*Yudai Kabata, Tetsuya Hirose, Nobutaka Kuroki, Masahiro Numa (Kobe University, Japan)
Pagepp. 319 - 324
KeywordECO, reconfigurable cell, error diagnosis, technology remapping
AbstractThis paper presents an ECO-friendly design style based on reconfigurable (RECON) cells to reduce an increase in circuit delay by post-mask Engineering Change Orders (ECO’s). Employing RECON cells to implement not only the changes caused by ECO’s but also a part of the original circuit is the key to provide higher flexibility in the ECO process. Experimental results have shown that the proposed design style is effective to reduce the increase in circuit delay with post-mask ECO.